US6069490A - Routing architecture using a direct connect routing mesh - Google Patents

Routing architecture using a direct connect routing mesh Download PDF

Info

Publication number
US6069490A
US6069490A US08/982,636 US98263697A US6069490A US 6069490 A US6069490 A US 6069490A US 98263697 A US98263697 A US 98263697A US 6069490 A US6069490 A US 6069490A
Authority
US
United States
Prior art keywords
logic
programmable
interconnect structure
blocks
block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/982,636
Inventor
Emil S. Ochotta
Douglas P. Wieland
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xilinx Inc
Original Assignee
Xilinx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx Inc filed Critical Xilinx Inc
Priority to US08/982,636 priority Critical patent/US6069490A/en
Assigned to XILINX, INC. reassignment XILINX, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OCHOTTA, EMIL S., WIELAND, DOUGLAS P.
Application granted granted Critical
Publication of US6069490A publication Critical patent/US6069490A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17724Structural details of logic blocks
    • H03K19/17728Reconfigurable logic blocks, e.g. lookup tables
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17792Structural details for adapting physical parameters for operating speed
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17796Structural details for adapting physical parameters for physical disposition of blocks

Definitions

  • the present invention is related generally to the field of circuit design implementation in programmable logic devices ("PLDs”), and more specifically to an interconnect architecture for a programmable logic device.
  • PLDs programmable logic devices
  • a conventional field programmable gate array (“FPGA”) is a programmable logic device that comprises a matrix of logic blocks (LBs), embedded in a configurable interconnect routing network. Control of LB configuration and the routing network define the function of the device.
  • the device is referred to as a "field programmable” device because the array of LBs contained in the device can be configured and interconnected by the user in the user's facility by means of special hardware and software.
  • FPGAs are well known in the art.
  • U.S. Reissue Pat. No. 34,363 to R. Freeman entitled “Configurable Electrical Circuit Having Configurable Logic Elements and Configurable Interconnects", assigned to Xilinx, Inc., the assignee of the present invention, describes a configurable logic array that includes a plurality of LBs variably interconnected in response to control signals to perform a selected logic function, and in which a memory is used to store the particular data used to configure the LBs.
  • An LB may be electrically programmed by control bits to provide any one of a plurality of logic functions.
  • An LB may include the circuit elements necessary to provide an AND gate, flip-flop, latch, inverter, NOR gate, exclusive OR gate, and certain combinations of these functions, or an LB may include a lookup table that offers a user all functions of several input signals. The particular function performed by the LB is determined by control signals that are applied to the LB from a control logic circuit.
  • a conventional FPGA comprises a plurality of LBs, each LB having input leads and one or more output leads, a general interconnect structure, and a set of programmable interconnection points (PIPs) for connecting the general interconnect structure to each input lead and each output lead. Also, each lead in the general interconnect structure can typically be connected to one or more other interconnect leads by programming an associated PIP.
  • PIP programmable interconnection points
  • the various PIPs are typically programmed by loading memory cells that control the gates of pass transistors, or by connecting selected antifuses in an antifuse-based PLD.
  • a specific FPGA configuration having a desired function is created by configuring each LB and forming paths through the interconnect structure within the FPGA to connect the LBs.
  • Each PIP in an FPGA is programmed by opening or closing one or more switches associated with the PIP, such that a specified signal path is defined.
  • Such switches may be implemented by applying a control signal to the gate of a pass transistor, or, alternatively, if the switch is part of a multiplexer in which only one of several switches will be turned on at one time, several control signals may be decoded to determine which switch is turned on.
  • the signal path chosen to interconnect one logic element to another logic element is typically governed by algorithms implemented in software routines.
  • the user may exercise some control over the signal paths chosen by the software, but it is typically not practical for the user to control all signal paths in an implemented design.
  • the software must be entrusted with the significant responsibility for circuit routing and layout, and may choose any of a large number of different interconnect segment and switch combinations to realize a particular signal path. Since the number of interconnect segments and pass transistors will vary from combination to combination, the delay through the signal path may also vary significantly, depending on the choice made by the software. This variation in delay is undesirable. It would therefore be further advantageous to provide an FPGA interconnect structure that did not have significant delay differences depending upon the signal path chosen by the circuit placing and routing software.
  • each LB connects to the four LBs to its north, south, east and west, as illustrated in FIG. 1.
  • the X output may be connected directly to the B input of the LB immediately to its right and the C input of the LB immediately to its left.
  • the Y output may be connected directly to the D input of the LB immediately above and the A input of the LB immediately below.
  • the Xilinx XC4000EX FPGA includes four direct connects per LB: two vertical and two horizontal. A simplified view of this structure is illustrated in FIG. 2. Horizontal direct connects 4 connect subject LB 2 to adjacent LB 6 on the right, and vertical direct connects 8 connect subject LB 2 to LB 10 adjacent below.
  • an LB comprises a configurable logic element (CLE), an input multiplexer (IMUX), and an output multiplexer (OMUX).
  • CLE configurable logic element
  • IMUX input multiplexer
  • OFMUX output multiplexer
  • Conventional direct connects 12 provide a fast path from one LB to an adjacent LB
  • fast feedback paths 14 provide a fast path from the output of logic in a CLE through the associated IMUX to other logic within the same CLE.
  • each direct connect 12 driven by each LB, two in each horizontal direction. These direct connects are actually implemented as dedicated connections from an output multiplexer in one LB to input multiplexers in the adjacent LBs. In this architecture, any output of the source CLE 20 can drive any of the LUT inputs of adjacent CLE 22 through direct connects 12.
  • This direct connect structure is more flexible than the direct connect structure in the XC4000EX of FIG. 2, but each direct connect incurs the additional delay of going through an output multiplexer.
  • the routing delay for a single level of combinational logic is approximately 2.5 ns when normal, single-length lines (not shown) are used for routing. This drops to about 2 ns (20% faster than the single-length line) when direct connects 12 are used and drops further to about 1.5 ns (40% faster than the single-length line) when fast feedback paths 14 are used.
  • direct connects are implemented as programmable connections from CLE outputs in one LB to input multiplexers in the adjacent LBs, thereby bypassing the output multiplexer.
  • This implementation has reduced flexibility, but greater speed, compared to the architecture described by Young et al.
  • a direct connect routing mesh is provided for implementation on an FPGA device.
  • the inventive direct connect mesh replaces at least part of an existing routing fabric when used with an existing device architecture, speeding up routes that can take advantage of direct connect routing, preferably without reducing the performance of existing routing structures.
  • a first advantage of the present invention is the provision of a direct connect mesh having multi-bit interconnect lines.
  • Another advantage of the present invention is the provision of a direct connect mesh that can provide the preferred, default routing structure for a given circuit implementation.
  • Yet another advantage of the present invention is the provision of a direct connect mesh that provides a predictable timing model.
  • Still another advantage of the present invention is the provision of a direct connect mesh that can span a plurality of LBs substantially without encountering the delay of Programmable Interconnect Points.
  • Still another advantage of the present invention is the provision of a direct connect mesh that extends beyond adjacent LBs.
  • Yet another advantage of the present invention is the provision of a direct connect mesh having a highly symmetric structure, thereby enabling highly regular and predictable routing delay.
  • FIG. 1 illustrates a first prior art direct connect structure.
  • FIG. 2 illustrates a second prior art direct connect structure.
  • FIG. 3 illustrates an alternative direct connect structure.
  • FIG. 3A illustrates an additional feature of the structure of FIG. 3.
  • FIG. 4 illustrates a first embodiment of the present invention direct connect routing mesh.
  • FIG. 5 illustrates the embodiment of FIG. 4 as implemented in the circuit of FIG. 3.
  • FIG. 5A illustrates a multi-level input multiplexer compatible with the structure of FIG. 5.
  • FIG. 6 illustrates a second embodiment of the present invention direct connect routing mesh.
  • FIG. 7 illustrates a third embodiment of the present invention direct connect routing mesh.
  • FIG. 8 illustrates the substantial benefits of increased utilization of direct connects in circuit placing and routing, as realized by the present invention.
  • FIG. 4 illustrates only the direct connect mesh originating in LB 30 in the center of the figure.
  • each LB comprises the origination point for additional direct connect mesh structures 28, creating a structure wherein each LB is connected to four direct connect output segments 32 and receives eight direct connect input signals.
  • each output segment 32 of the mesh originating from an output of LB 30 has a fanout of two, allowing for user's circuit designs having internal fanout.
  • fanout to a plurality of other LBs is provided, preferably the paths to all destinations are continuous wires not broken into segments connected by intermediate PIPs.
  • each LB has multiple inputs and outputs, every segment of mesh 28 in FIG.
  • this direct connect mesh structure is symmetric and extends direct connect utilization beyond adjacency in that any two LBs within a 2 ⁇ 2 square directly connect to one another.
  • direct connect mesh 28 by adding direct connect mesh 28 to the architecture illustrated in FIG. 3, it is seen that direct connects are driven by the OMUX and any output may be routed directly to the IMUX of any adjacent LB.
  • an additional buffer driven by the OMUX and driving each direct connect segment in the mesh, minimizes delay.
  • each CLE comprises four lookup tables (LUTs), each having four inputs
  • each IMUX requires an additional 16 inputs (for receiving 2 inputs from each of 8 adjacent CLBs), and 64 additional PIPs (each of the 16 inputs having four PIPs for providing input to the 4 LUTs).
  • Direct connect mesh 28 thereby replaces the existing, limited direct connect structure.
  • One option for reducing the impact of the area required for the mesh of FIG. 5 is to create a multi-level IMUX as shown in FIG. 5A by stacking several multiplexers.
  • the multilevel IMUX of FIG. 5A passes direct connects quickly through a single multiplexer level but requires traditionally routed signals coming from greater distances to pass through multiple multiplexing levels.
  • FIG. 6 A variation of the basic direct connect mesh 28 of the present invention is illustrated in FIG. 6.
  • This modified embodiment can improve utilization of the direct connects with a simple placement algorithm such as simulated annealing.
  • Available placement algorithms often use the sum of the distances in the horizontal and vertical directions (Manhattan distance) to estimate wire length, placing blocks to minimize wire length and thus minimizing routing delay.
  • the direct connect mesh reaches all LBs within a Manhattan distance of two. As such, the Manhattan distance approximation commonly used by existing placement algorithms can accurately predict the delay achievable using the direct connect mesh of the present invention.
  • each LB has four direct connect outputs and 24 direct connect inputs.
  • each direct connect is preferably a bus with a width of at least two, increasing the count of direct connect outputs and inputs to eight and 48, respectively. It should be noted that this direct connect mesh structure is symmetric and extends direct connect utilization beyond adjacency in that any two LBs within a 3 ⁇ 3 quare directly connect to one another.
  • the mesh embodiment of FIG. 7 is especially advantageous because it complements the existing hex-length routing structure of the circuit of FIG. 3, illustrated in FIG. 3A and described at length by Young et al in commonly assigned co-pending U.S. patent application Ser. No. 08/806,997, "FPGA REPEATABLE INTERCONNECT STRUCTURE WITH HIERARCHICAL INTERCONNECT LINES" now issued U.S. Pat. No. 5,914,616, which is referenced above and incorporated herein by reference.
  • hex-length lines traverse six LBs and contain a PIP at the mid-point to allow access to every third LB.
  • the mesh embodiment illustrated in FIG. 7 provides direct connect access to every LB that is within a bounding box of two away.
  • any route that uses a hex line with the device of FIG. 3 could use the direct connect mesh of FIG. 7 to more quickly complete the connection.
  • the direct connect mesh includes gaps to maintain the routing and reach advantage of the present invention and reduce the number of PIPs in device architectures, without overly utilizing metal layer resources.
  • not every LB in a group of LBs is necessarily directly connected to every other LB in that group. Instead, selected direct connects are intentionally omitted from the mesh to free device resources for other uses.
  • particular structures are targeted for the direct connect mesh during place and route of a circuit.
  • a multiplier structured across an array of LBs is particularly well suited to the high speed and density of the inventive direct connect mesh.
  • One technique for improving direct connect utilization within the simulated annealing placement paradigm is to increase the intelligence of the algorithm by supplementing a set of random moves with moves that produce locally good solutions, while retaining a cost function and annealing mechanism to determine if the locally good solutions are also suitable on a global scale.
  • a move can be added to an available simulated annealing placement algorithm move-set that traces an output signal with low fanout and moves all of its loads so that they can be driven by the direct connect mesh.
  • This move works in concert with the random moves being used by the annealer and significantly increases direct connect mesh utilization when compared with an available annealing algorithm using only random moves.
  • a preferred modified place and route algorithm is optimized to increase direct connect mesh utilization to the extent it increases circuit implementation speed. Additional features of the modified simulated annealing algorithm and software program envisioned for use with the direct connect routing mesh of the present invention are disclosed in commonly assigned, concurrently filed, and copending U.S. patent application Ser. No. 08/982,847, entitled “TEMPLATE-BASED SIMULATED ANNEALING MOVE-SET THAT IMPROVES FPGA ARCHITECTURAL FEATURE UTILIZATION", which is referenced above and incorporated herein by reference.
  • the preferred structure is flexible enough to handle general user netlists with fanout or similar complications, and is compatible with software to achieve high direct connect utilization on customer designs.
  • the preferred structure imposes minimal impact on speed of existing routing and thus increases best-case scenario routing and implementation speed, in addition to delay estimation accuracy, without substantially increasing worst-case scenario delay.
  • the preferred structure provides optimized speed increase over general purpose routing. This is helped by using direct connects with reach greater than a single LB. Direct connects having increased reach perform the job of several single-length PIP connections, yielding additional performance gains over general purpose routing.
  • the direct connect mesh can be extended to reach any plurality of Manhattan lengths having any plurality of parallel lines, as space and device architecture allow.
  • the embodiments described herein pertain to field programmable logic devices, the invention can also be used in other programmable logic devices such as metal programmable logic devices.

Abstract

A direct connect mesh routing structure is provided for interconnecting configurable logic blocks within a programmable logic device. The structure includes multi-bit interconnect busses and a highly regular structure distributed throughout a configurable array enabling high direct interconnect utilization to adjacent and non-adjacent logic blocks, high speed circuit implementation, and improved timing characteristics. The direct connections of the invention are the preferred interconnect path between logic blocks because they substantially reduce the average interconnect delay, thereby allowing the programmable logic device to operate at a higher speed.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is related to concurrently filed and commonly assigned U.S. patent application Ser. No. 08/982,847, invented by Emil S. Ochotta and entitled "TEMPLATE-BASED SIMULATED ANNEALING MOVE-SET THAT IMPROVES FPGA ARCHITECTURAL FEATURE UTILIZATION", which is incorporated herein by reference.
This application is further related to commonly assigned co-pending U.S. patent application Ser. No. 08/806,997, invented by Steven P. Young et al and entitled "FPGA REPEATABLE INTERCONNECT STRUCTURE WITH HIERARCHICAL INTERCONNECT LINES" now issued U.S. Pat. No. 5,914,616, which is incorporated herein by reference.
FIELD OF THE INVENTION
The present invention is related generally to the field of circuit design implementation in programmable logic devices ("PLDs"), and more specifically to an interconnect architecture for a programmable logic device.
BACKGROUND OF THE INVENTION
A conventional field programmable gate array ("FPGA") is a programmable logic device that comprises a matrix of logic blocks (LBs), embedded in a configurable interconnect routing network. Control of LB configuration and the routing network define the function of the device. The device is referred to as a "field programmable" device because the array of LBs contained in the device can be configured and interconnected by the user in the user's facility by means of special hardware and software.
FPGAs are well known in the art. For example, U.S. Reissue Pat. No. 34,363 to R. Freeman, entitled "Configurable Electrical Circuit Having Configurable Logic Elements and Configurable Interconnects", assigned to Xilinx, Inc., the assignee of the present invention, describes a configurable logic array that includes a plurality of LBs variably interconnected in response to control signals to perform a selected logic function, and in which a memory is used to store the particular data used to configure the LBs.
An LB may be electrically programmed by control bits to provide any one of a plurality of logic functions. An LB may include the circuit elements necessary to provide an AND gate, flip-flop, latch, inverter, NOR gate, exclusive OR gate, and certain combinations of these functions, or an LB may include a lookup table that offers a user all functions of several input signals. The particular function performed by the LB is determined by control signals that are applied to the LB from a control logic circuit.
A conventional FPGA comprises a plurality of LBs, each LB having input leads and one or more output leads, a general interconnect structure, and a set of programmable interconnection points (PIPs) for connecting the general interconnect structure to each input lead and each output lead. Also, each lead in the general interconnect structure can typically be connected to one or more other interconnect leads by programming an associated PIP.
The various PIPs are typically programmed by loading memory cells that control the gates of pass transistors, or by connecting selected antifuses in an antifuse-based PLD. Currently, a specific FPGA configuration having a desired function is created by configuring each LB and forming paths through the interconnect structure within the FPGA to connect the LBs.
Each PIP in an FPGA is programmed by opening or closing one or more switches associated with the PIP, such that a specified signal path is defined. Such switches may be implemented by applying a control signal to the gate of a pass transistor, or, alternatively, if the switch is part of a multiplexer in which only one of several switches will be turned on at one time, several control signals may be decoded to determine which switch is turned on.
One problem with the known approaches to routing signals through an FPGA interconnect network comes from using many pass transistors to form a path. Since each transistor has an associated impedance, several pass transistors connected in series can introduce a significant impedance into a path. Additionally, each interconnect lead and pass transistor introduces a capacitive element that combines with the impedance to produce a propagation delay over the associated path. Delay is especially pronounced if a long path is required because the path may be implemented through several shorter segments and several pass transistors. There is therefore a need for an FPGA interconnect architecture that avoids the delay of available longer paths composed of a plurality of interconnected shorter paths.
In addition to avoiding long delays and more efficiently utilizing limited device resources, it is desirable to offer predictable delay. The signal path chosen to interconnect one logic element to another logic element is typically governed by algorithms implemented in software routines. The user may exercise some control over the signal paths chosen by the software, but it is typically not practical for the user to control all signal paths in an implemented design. Thus, the software must be entrusted with the significant responsibility for circuit routing and layout, and may choose any of a large number of different interconnect segment and switch combinations to realize a particular signal path. Since the number of interconnect segments and pass transistors will vary from combination to combination, the delay through the signal path may also vary significantly, depending on the choice made by the software. This variation in delay is undesirable. It would therefore be further advantageous to provide an FPGA interconnect structure that did not have significant delay differences depending upon the signal path chosen by the circuit placing and routing software.
One approach to avoiding these complications is the inclusion of direct connect structures between logic elements. Presently available direct connects connect an LB output to an adjacent LB's input, yet have very few PIPs.
For example, in the Xilinx XC3000 FPGA, each LB connects to the four LBs to its north, south, east and west, as illustrated in FIG. 1. The X output may be connected directly to the B input of the LB immediately to its right and the C input of the LB immediately to its left. Similarly, the Y output may be connected directly to the D input of the LB immediately above and the A input of the LB immediately below. Similarly, the Xilinx XC4000EX FPGA includes four direct connects per LB: two vertical and two horizontal. A simplified view of this structure is illustrated in FIG. 2. Horizontal direct connects 4 connect subject LB 2 to adjacent LB 6 on the right, and vertical direct connects 8 connect subject LB 2 to LB 10 adjacent below.
Traditional, non-direct, PIP-based connections (not shown) are also utilized in the XC4000EX FPGA, but are far slower than the available direct connect resources. For example, the delay for a single level of combinational logic using general purpose interconnect is about 2.8 ns. This delay drops to about 1.9 ns (32% faster than general purpose interconnect) when the direct connects of FIG. 2 are used.
An alternative Xilinx architecture is illustrated in FIG. 3 and described by Young, et al. in U.S. patent application Ser. No. 08/806,997 entitled "FPGA Repeatable Interconnect Structure with Hierarchical Interconnect Lines", referenced above and incorporated herein by reference. In this architecture, an LB comprises a configurable logic element (CLE), an input multiplexer (IMUX), and an output multiplexer (OMUX). There are two kinds of direct connects. Conventional direct connects 12 provide a fast path from one LB to an adjacent LB, and fast feedback paths 14 provide a fast path from the output of logic in a CLE through the associated IMUX to other logic within the same CLE. With this structure, the output of a first lookup table (LUT) within a CLE 20 can become the input to another LUT in the same CLE.
Referring still to FIG. 3, there are four horizontal direct-connects 12 driven by each LB, two in each horizontal direction. These direct connects are actually implemented as dedicated connections from an output multiplexer in one LB to input multiplexers in the adjacent LBs. In this architecture, any output of the source CLE 20 can drive any of the LUT inputs of adjacent CLE 22 through direct connects 12. This direct connect structure is more flexible than the direct connect structure in the XC4000EX of FIG. 2, but each direct connect incurs the additional delay of going through an output multiplexer.
In the architecture illustrated in FIG. 3, the advantage of using direct connects is easily revealed. The routing delay for a single level of combinational logic is approximately 2.5 ns when normal, single-length lines (not shown) are used for routing. This drops to about 2 ns (20% faster than the single-length line) when direct connects 12 are used and drops further to about 1.5 ns (40% faster than the single-length line) when fast feedback paths 14 are used.
In a minor variation of this architecture, direct connects are implemented as programmable connections from CLE outputs in one LB to input multiplexers in the adjacent LBs, thereby bypassing the output multiplexer. This implementation has reduced flexibility, but greater speed, compared to the architecture described by Young et al.
While the direct connect architectures illustrated in FIGS. 1-3 provide certain advantages, a variety of factors severely limit actual utilization of these valuable resources and call for the advancement in the art provided by the present invention. For example, previously available device fabrication processes severely limited the amount of metal available for programmable interconnection point (PIP) and direct connect implementation. However, new fabrication processes are increasing the amount of metal "real estate" available for more sophisticated routing structures. There is therefore a benefit from a direct connect interconnect structure that increases device performance while taking advantage of the new fabrication techniques. Moreover, as designs become increasingly hierarchical and contain more highly-structured components, including very tightly coupled data paths having faster local routing needs, more extensive use of direct connects would provide a significant performance enhancement. Also, a direct connect architecture having improved symmetry would be easier to model in placement and routing software than the structures described above.
SUMMARY OF THE INVENTION
A direct connect routing mesh is provided for implementation on an FPGA device. The inventive direct connect mesh replaces at least part of an existing routing fabric when used with an existing device architecture, speeding up routes that can take advantage of direct connect routing, preferably without reducing the performance of existing routing structures.
A first advantage of the present invention is the provision of a direct connect mesh having multi-bit interconnect lines.
Another advantage of the present invention is the provision of a direct connect mesh that can provide the preferred, default routing structure for a given circuit implementation.
Yet another advantage of the present invention is the provision of a direct connect mesh that provides a predictable timing model.
Still another advantage of the present invention is the provision of a direct connect mesh that can span a plurality of LBs substantially without encountering the delay of Programmable Interconnect Points.
Still another advantage of the present invention is the provision of a direct connect mesh that extends beyond adjacent LBs.
Yet another advantage of the present invention is the provision of a direct connect mesh having a highly symmetric structure, thereby enabling highly regular and predictable routing delay.
BRIEF DESCRIPTION OF THE DRAWINGS
The aforementioned advantages of the present invention as well as additional advantages thereof will be more clearly understood hereinafter as a result of a detailed description of a preferred embodiment of the invention when taken in conjunction with the following drawings in which:
FIG. 1 illustrates a first prior art direct connect structure.
FIG. 2 illustrates a second prior art direct connect structure.
FIG. 3 illustrates an alternative direct connect structure.
FIG. 3A illustrates an additional feature of the structure of FIG. 3.
FIG. 4 illustrates a first embodiment of the present invention direct connect routing mesh.
FIG. 5 illustrates the embodiment of FIG. 4 as implemented in the circuit of FIG. 3.
FIG. 5A illustrates a multi-level input multiplexer compatible with the structure of FIG. 5.
FIG. 6 illustrates a second embodiment of the present invention direct connect routing mesh.
FIG. 7 illustrates a third embodiment of the present invention direct connect routing mesh.
FIG. 8 illustrates the substantial benefits of increased utilization of direct connects in circuit placing and routing, as realized by the present invention.
DETAILED DESCRIPTION OF THE DRAWINGS First Embodiment
The basic direct connect mesh 28 of the present invention is illustrated in FIG. 4. It should be noted that FIG. 4 illustrates only the direct connect mesh originating in LB 30 in the center of the figure. In application, each LB comprises the origination point for additional direct connect mesh structures 28, creating a structure wherein each LB is connected to four direct connect output segments 32 and receives eight direct connect input signals. In this embodiment, each output segment 32 of the mesh originating from an output of LB 30 has a fanout of two, allowing for user's circuit designs having internal fanout. Although fanout to a plurality of other LBs is provided, preferably the paths to all destinations are continuous wires not broken into segments connected by intermediate PIPs. Further, because each LB has multiple inputs and outputs, every segment of mesh 28 in FIG. 4 is preferably a multi-line bus having a width of at least two, increasing the count of direct connect lines to eight outputs and 16 inputs. It should be noted that this direct connect mesh structure is symmetric and extends direct connect utilization beyond adjacency in that any two LBs within a 2×2 square directly connect to one another.
Referring next to FIG. 5, by adding direct connect mesh 28 to the architecture illustrated in FIG. 3, it is seen that direct connects are driven by the OMUX and any output may be routed directly to the IMUX of any adjacent LB. Preferably, an additional buffer, driven by the OMUX and driving each direct connect segment in the mesh, minimizes delay. For an embodiment where each CLE comprises four lookup tables (LUTs), each having four inputs, each IMUX requires an additional 16 inputs (for receiving 2 inputs from each of 8 adjacent CLBs), and 64 additional PIPs (each of the 16 inputs having four PIPs for providing input to the 4 LUTs). Direct connect mesh 28 thereby replaces the existing, limited direct connect structure.
One option for reducing the impact of the area required for the mesh of FIG. 5 is to create a multi-level IMUX as shown in FIG. 5A by stacking several multiplexers. The multilevel IMUX of FIG. 5A passes direct connects quickly through a single multiplexer level but requires traditionally routed signals coming from greater distances to pass through multiple multiplexing levels.
Second Embodiment
A variation of the basic direct connect mesh 28 of the present invention is illustrated in FIG. 6. This modified embodiment can improve utilization of the direct connects with a simple placement algorithm such as simulated annealing. Available placement algorithms often use the sum of the distances in the horizontal and vertical directions (Manhattan distance) to estimate wire length, placing blocks to minimize wire length and thus minimizing routing delay. In FIG. 6, the direct connect mesh reaches all LBs within a Manhattan distance of two. As such, the Manhattan distance approximation commonly used by existing placement algorithms can accurately predict the delay achievable using the direct connect mesh of the present invention.
Third Embodiment
Another embodiment of the direct connect mesh of the present invention includes still more reach and fanout, as illustrated in FIG. 7. In this embodiment, each LB has four direct connect outputs and 24 direct connect inputs. Further, each direct connect is preferably a bus with a width of at least two, increasing the count of direct connect outputs and inputs to eight and 48, respectively. It should be noted that this direct connect mesh structure is symmetric and extends direct connect utilization beyond adjacency in that any two LBs within a 3×3 quare directly connect to one another.
The mesh embodiment of FIG. 7 is especially advantageous because it complements the existing hex-length routing structure of the circuit of FIG. 3, illustrated in FIG. 3A and described at length by Young et al in commonly assigned co-pending U.S. patent application Ser. No. 08/806,997, "FPGA REPEATABLE INTERCONNECT STRUCTURE WITH HIERARCHICAL INTERCONNECT LINES" now issued U.S. Pat. No. 5,914,616, which is referenced above and incorporated herein by reference. In this routing structure, hex-length lines traverse six LBs and contain a PIP at the mid-point to allow access to every third LB. As a result, without the invention single length connections are required to complete the route if the distance to the target LB is not an even multiple of three. The mesh embodiment illustrated in FIG. 7 provides direct connect access to every LB that is within a bounding box of two away. Thus, any route that uses a hex line with the device of FIG. 3 could use the direct connect mesh of FIG. 7 to more quickly complete the connection.
If a direct connect mesh were used to replace, rather than supplement, existing routing resources, a much larger number of direct connects would be utilized, along with a bus width of four or more wires. While in the invention of Young at al (U.S. patent application Ser. No. 08/806,997) this would result in 96 wires entering the input MUX, the direct connect wires of the present invention would simply replace 96 of the wires that currently enter the input MUX (see Young et al's FIG. 7).
Fourth Embodiment
In another embodiment, the direct connect mesh includes gaps to maintain the routing and reach advantage of the present invention and reduce the number of PIPs in device architectures, without overly utilizing metal layer resources. In this embodiment, not every LB in a group of LBs is necessarily directly connected to every other LB in that group. Instead, selected direct connects are intentionally omitted from the mesh to free device resources for other uses.
In still another embodiment, particular structures are targeted for the direct connect mesh during place and route of a circuit. For example, a multiplier structured across an array of LBs is particularly well suited to the high speed and density of the inventive direct connect mesh.
High direct connect mesh utilization, and therefore increased implementation speed, depends upon software placement tools. Unfortunately, using an available, unmodified optimization algorithm such as simulated annealing is fairly impractical for this application, especially where there are only two possible relative placements for a pair of blocks that can use a direct connect (as in the device architectures of FIGS. 2 and 3). By moving blocks randomly, an annealing algorithm used with a direct connect would try to find one of only two ideal solutions in a large number of random perturbations, essentially like trying to find a needle (or in this case, a pair of needles) in a haystack, and needlessly complicating placement decisions. One technique for improving direct connect utilization within the simulated annealing placement paradigm is to increase the intelligence of the algorithm by supplementing a set of random moves with moves that produce locally good solutions, while retaining a cost function and annealing mechanism to determine if the locally good solutions are also suitable on a global scale.
For example, a move can be added to an available simulated annealing placement algorithm move-set that traces an output signal with low fanout and moves all of its loads so that they can be driven by the direct connect mesh. This move works in concert with the random moves being used by the annealer and significantly increases direct connect mesh utilization when compared with an available annealing algorithm using only random moves.
Thus, a preferred modified place and route algorithm is optimized to increase direct connect mesh utilization to the extent it increases circuit implementation speed. Additional features of the modified simulated annealing algorithm and software program envisioned for use with the direct connect routing mesh of the present invention are disclosed in commonly assigned, concurrently filed, and copending U.S. patent application Ser. No. 08/982,847, entitled "TEMPLATE-BASED SIMULATED ANNEALING MOVE-SET THAT IMPROVES FPGA ARCHITECTURAL FEATURE UTILIZATION", which is referenced above and incorporated herein by reference.
The implementation speed advantages of the present invention should now be readily apparent. For example, with a design containing only two levels of logic, using direct connects for one of every two connections on every path will significantly increase performance. If a level of logic using direct connect routing is 30% faster than a level of logic using general purpose routing, then the design will be 15% faster overall, since half the connections on each path are sped up. The graph of FIG. 8 generalizes this relationship, the horizontal axis of the graph representing utilization of the direct connects, and the vertical axis representing overall increase in the design's maximum clock frequency. Thus, each line on the graph reflects the relative speed of logic and routing for a single level of logic with direct connect routing, as compared to the speed of logic and routing when general purpose routing is used.
For example, for the available XC4000EX architecture shown in part in FIG. 2, a level of logic with a standard PIP connection requires 2.8 ns, while a level of logic with direct connect routing according to the invention takes only 1.9 ns. This difference represents an improvement of 32%, or about midway between the 20% and 40% lines in the graph of FIG. 8. Clearly, the greater the percentage of direct connects used across all the critical paths in the design, the greater the overall increase in the maximum clock frequency.
To maximize speed increase and other potential advantages of the present invention, the following three factors are optimally balanced in a preferred embodiment:
The preferred structure is flexible enough to handle general user netlists with fanout or similar complications, and is compatible with software to achieve high direct connect utilization on customer designs.
The preferred structure imposes minimal impact on speed of existing routing and thus increases best-case scenario routing and implementation speed, in addition to delay estimation accuracy, without substantially increasing worst-case scenario delay.
The preferred structure provides optimized speed increase over general purpose routing. This is helped by using direct connects with reach greater than a single LB. Direct connects having increased reach perform the job of several single-length PIP connections, yielding additional performance gains over general purpose routing.
While the present invention has been described with reference to certain preferred embodiments, those skilled in the art will recognize that various modifications and other embodiments may be provided. For example, the direct connect mesh can be extended to reach any plurality of Manhattan lengths having any plurality of parallel lines, as space and device architecture allow. For another example, although the embodiments described herein pertain to field programmable logic devices, the invention can also be used in other programmable logic devices such as metal programmable logic devices. These and other variations upon and modifications to the embodiments described herein are provided for by the present invention which is limited only by the following claims.

Claims (40)

What is claimed is:
1. A programmable logic device comprising an array of rows and columns of substantially identical logic blocks, said device comprising:
at least four logic blocks not all in the same column and not all in the same row of said array, not all of said four logic blocks being adjacent to one another, each such logic block having at least one input and at least one output; and
a direct interconnect structure connecting each of said four logic blocks with each other of said four logic blocks, whereby each logic block output can programmably drive said at least one input of each of said other logic blocks.
2. The programmable logic device of claim 1, wherein said four logic blocks are positioned such that they form a square.
3. The programmable logic device of claim 1, wherein:
each of said four logic blocks comprises a configurable logic element and an associated input multiplexer; and
each such logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in each of the other three logic blocks by traversing one such associated input multiplexer.
4. The programmable logic device of claim 1, further comprising a programmable general interconnect structure.
5. The programmable logic device of claim 1, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
6. The programmable logic device of claim 1, wherein said direct interconnect structure comprises a bus at least two bits wide.
7. A programmable logic device comprising:
an array of logic blocks, each logic block having at least one input and at least one output; and
a direct interconnect structure connecting said output of each such logic block with said input of each other such logic block within a Manhattan distance of two.
8. The programmable logic device of claim 7, wherein:
each such logic block comprises a configurable logic element and an associated input multiplexer; and
each such logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in each other such logic block within a Manhattan distance of two by traversing one such associated input multiplexer.
9. The programmable logic device of claim 7, further comprising a programmable general interconnect structure.
10. The programmable logic device of claim 7, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
11. The programmable logic device of claim 7, wherein said direct interconnect structure comprises a bus at least two bits wide.
12. A programmable logic device comprising:
an array of logic blocks arranged in rows and columns, each logic block having at least one input and at least one output; and
a direct interconnect structure connecting said output of a first such logic block to one of said inputs of each other such logic block located within a distance of two rows and two columns of said first logic block.
13. The programmable logic device of claim 12, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
14. The programmable logic device of claim 12, wherein said direct interconnect structure comprises a bus at least two bits wide.
15. The programmable logic device of claim 12, wherein:
each such logic block comprises a configurable logic element and an associated input multiplexer; and
a first such logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in each other such logic block located within a distance of two logic blocks of said first logic block by traversing one such associated input multiplexer.
16. The programmable logic device of claim 12, further comprising a programmable general interconnect structure.
17. A programmable logic device comprising an array of logic blocks, said device comprising:
at least four logic blocks not all adjacent to one another, each such logic block having at least one input and at least one output, said four logic blocks forming a pattern in said array of logic blocks, said four logic blocks being not all in one row and not all in one column of said array; and
a direct interconnect structure connecting each of said four logic blocks with each other of said four logic blocks, whereby each logic block output can programmably drive said at least one input of each of said other logic blocks,
wherein one such logic block can take any position in said pattern.
18. The programmable logic device of claim 17, wherein said four logic blocks are positioned such that they form a square.
19. The programmable logic device of claim 17, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
20. The programmable logic device of claim 17, wherein said direct interconnect structure comprises a bus at least two bits wide.
21. The programmable logic device of claim 17, wherein:
each of said four logic blocks comprises a configurable logic element and an associated input multiplexer; and
each such logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in each of the other three logic blocks by traversing one such associated input multiplexer.
22. The programmable logic device of claim 17, further comprising a programmable general interconnect structure.
23. A programmable logic device comprising an array of logic blocks arranged in rows and columns, said device comprising:
first, second, and third logic blocks, each logic block having at least one input and at least one output, said second logic block being adjacent to said first logic block, said third logic block not being adjacent to said first logic block, said first, second, and third logic blocks being not all in the same column and not all in the same row of said array; and
a direct interconnect structure connecting the output of said first logic block to the input of said second logic block and further to the input of said third logic block, the output of said second logic block to the input of said first logic block, and the output of said third logic block to the input of said first logic block.
24. The programmable logic device of claim 23, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
25. The programmable logic device of claim 23, wherein said direct interconnect structure comprises a bus at least two bits wide.
26. The programmable logic device of claim 23, wherein:
each of said first, second, and third logic blocks comprises a configurable logic element and an associated input multiplexer; and
the first logic block output can programmably drive, through said direct interconnect structure, the configurable logic elements in said second and third logic blocks, the second logic block output can programmable drive, through said direct interconnect structure, the configurable logic element in said first logic block, and the third logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in said first logic block.
27. The programmable logic device of claim 23, further comprising a programmable general interconnect structure.
28. A programmable logic device comprising an array of rows and columns of logic blocks, said device comprising:
at least four logic blocks not all adjacent to each other, not all in the same column, and not all in the same row in said array, each such logic block having at least one input and at least one output; and
a direct interconnect structure connecting each of said four logic blocks with each other of said four logic blocks, whereby each logic block output can programmably drive said at least one input of each of said other logic blocks.
29. The programmable logic device of claim 28, wherein said four logic blocks are positioned such that they form a square.
30. The programmable logic device of claim 28, wherein two of said four logic blocks are positioned such that they are adjacent to each other.
31. The programmable logic device of claim 28, wherein said four logic blocks are positioned such that a first two of said four logic blocks are adjacent to each other, and a second two of said four logic blocks are adjacent to each other.
32. The programmable logic device of claim 28, wherein a direct interconnect path implemented in said direct interconnect structure comprises only one programmable interconnect point.
33. The programmable logic device of claim 28, wherein said direct interconnect structure comprises a bus at least two bits wide.
34. The programmable logic device of claim 28, wherein:
each of said four logic blocks comprises a configurable logic element and an associated input multiplexer; and
each such logic block output can programmably drive, through said direct interconnect structure, the configurable logic element in each of the other three logic blocks by traversing one such associated input multiplexer.
35. The programmable logic device of claim 28, further comprising a programmable general interconnect structure.
36. A programmable logic device, comprising:
an array of logic blocks comprising a first logic block, the first logic block having two horizontally adjacent logic blocks, two vertically adjacent logic blocks, and four diagonally adjacent logic blocks; and
a direct interconnect structure providing interconnections between the logic blocks, wherein:
the direct interconnect structure provides interconnections between the first logic block and each of the four diagonally adjacent logic blocks, and
the direct interconnect structure further provides interconnections between the first logic block and at least one other logic block not adjacent to the first logic block.
37. The programmable logic device of claim 36, wherein:
the direct interconnect structure further provides interconnections between the first logic block and each of the two horizontally adjacent logic blocks; and
the direct interconnect structure further provides interconnections between the first logic block and each of the two vertically adjacent logic blocks.
38. The programmable logic device of claim 36, wherein a direct interconnect path implemented in the direct interconnect structure comprises only one programmable interconnect point.
39. The programmable logic device of claim 36, wherein the direct interconnect structure comprises a bus at least two bits wide.
40. The programmable logic device of claim 36, further comprising a programmable general interconnect structure.
US08/982,636 1997-12-02 1997-12-02 Routing architecture using a direct connect routing mesh Expired - Lifetime US6069490A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/982,636 US6069490A (en) 1997-12-02 1997-12-02 Routing architecture using a direct connect routing mesh

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/982,636 US6069490A (en) 1997-12-02 1997-12-02 Routing architecture using a direct connect routing mesh

Publications (1)

Publication Number Publication Date
US6069490A true US6069490A (en) 2000-05-30

Family

ID=25529370

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/982,636 Expired - Lifetime US6069490A (en) 1997-12-02 1997-12-02 Routing architecture using a direct connect routing mesh

Country Status (1)

Country Link
US (1) US6069490A (en)

Cited By (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184713B1 (en) * 1999-06-06 2001-02-06 Lattice Semiconductor Corporation Scalable architecture for high density CPLDS having two-level hierarchy of routing resources
US6331788B1 (en) * 2001-07-03 2001-12-18 The United States Of America As Represented By The Secretary Of The Air Force Simplified cellular array structure for programmable Boolean networks
US6407576B1 (en) * 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US20020166106A1 (en) * 2001-05-06 2002-11-07 Lewis David M. System and method for asymmetric routing lines
US6573749B2 (en) * 2000-05-18 2003-06-03 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6747480B1 (en) 2002-07-12 2004-06-08 Altera Corporation Programmable logic devices with bidirect ional cascades
US20050144210A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with dynamic DSP architecture
US20050144215A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Applications of cascading DSP slices
US20050144211A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with pipelined DSP slices
US20050144212A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US20050144213A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Mathematical circuit with dynamic rounding
US20050144216A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Arithmetic circuit with multiplexed addend inputs
US20050231236A1 (en) * 2004-04-14 2005-10-20 Altera Corporation Routing architecture with high speed I/O bypass path
US20060004997A1 (en) * 2001-05-04 2006-01-05 Robert Keith Mykland Method and apparatus for computing
US20060190516A1 (en) * 2003-12-29 2006-08-24 Xilinx, Inc. Digital signal processing element having an arithmetic logic unit
US7098687B1 (en) * 2003-08-18 2006-08-29 Altera Corporation Flexible routing resources in a programmable logic device
US20060195496A1 (en) * 2003-12-29 2006-08-31 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit
US7109752B1 (en) 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US20060212499A1 (en) * 2003-12-29 2006-09-21 Xilinx, Inc. Digital signal processing block having a wide multiplexer
US20060230095A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having a pre-adder circuit
US20060230096A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having an adder circuit with carry-outs
US20060230093A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit for convergent rounding
US20060230094A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having input register blocks
US20060230092A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Architectural floorplan for a digital signal processing circuit
US7126381B1 (en) 2004-02-14 2006-10-24 Herman Schmit VPA interconnect circuit
US7126373B1 (en) 2004-02-14 2006-10-24 Herman Schmit Configurable logic circuits with commutative properties
US7145361B1 (en) * 2004-06-30 2006-12-05 Andre Rohe Configurable integrated circuit with different connection schemes
US20060288069A1 (en) * 2003-12-29 2006-12-21 Xilinx, Inc. Digital signal processing circuit having a SIMD circuit
US20060288070A1 (en) * 2003-12-29 2006-12-21 Xilinx, Inc. Digital signal processing circuit having a pattern circuit for determining termination conditions
US7157933B1 (en) 2004-02-14 2007-01-02 Herman Schmit Configurable circuits, IC's, and systems
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7193438B1 (en) * 2004-06-30 2007-03-20 Andre Rohe Configurable integrated circuit with offset connection
US7193440B1 (en) 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US7193432B1 (en) 2004-02-14 2007-03-20 Herman Schmit VPA logic circuits
US7196543B1 (en) * 2005-06-14 2007-03-27 Xilinx, Inc. Integrated circuit having a programmable input structure with optional fanout capability
US7202698B1 (en) 2005-06-14 2007-04-10 Xilinx, Inc. Integrated circuit having a programmable input structure with bounce capability
US7218140B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between carry chain multiplexers and lookup tables
US7218143B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between memory elements and carry logic
US7224181B1 (en) 2004-11-08 2007-05-29 Herman Schmit Clock distribution in a configurable IC
US7224182B1 (en) 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
US7253658B1 (en) 2005-06-14 2007-08-07 Xilinx, Inc. Integrated circuit providing direct access to multi-directional interconnect lines in a general interconnect structure
US7259587B1 (en) 2004-11-08 2007-08-21 Tabula, Inc. Configurable IC's with configurable logic resources that have asymetric inputs and/or outputs
US7265576B1 (en) 2005-06-14 2007-09-04 Xilinx, Inc. Programmable lookup table with dual input and output terminals in RAM mode
US7268586B1 (en) 2004-11-08 2007-09-11 Tabula, Inc. Method and apparatus for accessing stored data in a reconfigurable IC
US7274214B1 (en) 2005-06-14 2007-09-25 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7276934B1 (en) * 2005-06-14 2007-10-02 Xilinx, Inc. Integrated circuit with programmable routing structure including diagonal interconnect lines
US7276933B1 (en) 2004-11-08 2007-10-02 Tabula, Inc. Reconfigurable IC that has sections running at different looperness
US7279929B1 (en) * 2005-06-14 2007-10-09 Xilinx, Inc. Integrated circuit with programmable routing structure including straight and diagonal interconnect lines
US7282950B1 (en) * 2004-11-08 2007-10-16 Tabula, Inc. Configurable IC's with logic resources with offset connections
US7284222B1 (en) 2004-06-30 2007-10-16 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US20070244958A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with carry bypass circuitry
US20070241783A1 (en) * 2004-11-08 2007-10-18 Herman Schmit Configurable ic with routing circuits with offset connections
US20070241770A1 (en) * 2004-06-30 2007-10-18 Andre Rohe Configurable integrated circuit with built-in turns
US20070241776A1 (en) * 2004-06-30 2007-10-18 Herman Schmit Configurable Logic Circuits with Commutative Properties
US20070241772A1 (en) * 2005-03-15 2007-10-18 Herman Schmit Embedding memory within tile arrangement of a configurable ic
US20070241773A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Hybrid logic/interconnect circuit in a configurable ic
US20070244957A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with configurable logic circuits that perform adder and/or subtractor operations
US20070241787A1 (en) * 2004-06-30 2007-10-18 Herman Schmit Configurable Circuits, IC's, and Systems
US20070244959A1 (en) * 2005-03-15 2007-10-18 Steven Teig Configurable IC's with dual carry chains
US20070244960A1 (en) * 2004-11-08 2007-10-18 Herman Schmit Configurable IC's with large carry chains
US20070241784A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Configurable ic with interconnect circuits that have select lines driven by user signals
US20070241781A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Variable width management for a memory of a configurable IC
US20070241788A1 (en) * 2004-06-30 2007-10-18 Herman Schmit VPA Logic Circuits
US20070245272A1 (en) * 2004-12-01 2007-10-18 Andre Rohe Concurrent optimization of physical design and operational cycle assignment
US20070257700A1 (en) * 2005-03-15 2007-11-08 Andrew Caldwell Method and apparatus for decomposing functions in a configurable IC
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7375552B1 (en) 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US20080129336A1 (en) * 2004-02-14 2008-06-05 Herman Schmit Via programmable gate array with offset direct connections
US20080218197A1 (en) * 2007-03-09 2008-09-11 Altera Corporation Programmable logic device having redundancy with logic element granularity
US20080218208A1 (en) * 2007-03-09 2008-09-11 Altera Corporation Programmable logic device having logic array block interconnect lines that can interconnect logic elements in different logic blocks
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7428721B2 (en) 2004-12-01 2008-09-23 Tabula, Inc. Operational cycle assignment in a configurable IC
US20080231315A1 (en) * 2007-03-20 2008-09-25 Steven Teig Configurable IC Having A Routing Fabric With Storage Elements
US7461362B1 (en) 2005-12-01 2008-12-02 Tabula, Inc. Replacing circuit design elements with their equivalents
US7489162B1 (en) 2005-12-01 2009-02-10 Tabula, Inc. Users registers in a reconfigurable IC
US7504858B1 (en) 2006-03-08 2009-03-17 Tabula, Inc. Configurable integrated circuit with parallel non-neighboring offset connections
US7518400B1 (en) 2006-03-08 2009-04-14 Tabula, Inc. Barrel shifter implemented on a configurable integrated circuit
US7529992B1 (en) 2006-03-27 2009-05-05 Tabula, Inc. Configurable integrated circuit with error correcting circuitry
US7535252B1 (en) 2007-03-22 2009-05-19 Tabula, Inc. Configurable ICs that conditionally transition through configuration data sets
US20090146689A1 (en) * 2007-09-06 2009-06-11 Trevis Chandler Configuration Context Switcher with a Clocked Storage Element
US20090149211A1 (en) * 2007-11-05 2009-06-11 Picochip Designs Limited Power control
US7573296B2 (en) 2004-11-08 2009-08-11 Tabula Inc. Configurable IC with configurable routing resources that have asymmetric input and/or outputs
US20090210652A1 (en) * 2008-02-11 2009-08-20 Andrew William George Duller Signal routing in processor arrays
US7587697B1 (en) 2006-12-12 2009-09-08 Tabula, Inc. System and method of mapping memory blocks in a configurable integrated circuit
US7609085B1 (en) 2006-03-08 2009-10-27 Tabula, Inc. Configurable integrated circuit with a 4-to-1 multiplexer
US7626419B1 (en) 2005-11-11 2009-12-01 Tabula, Inc. Via programmable gate array with offset bit lines
US20090327987A1 (en) * 2008-06-26 2009-12-31 Steven Teig Timing operations in an IC with configurable circuits
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US7694083B1 (en) 2006-03-08 2010-04-06 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7765249B1 (en) 2005-11-07 2010-07-27 Tabula, Inc. Use of hybrid interconnect/logic circuits for multiplication
US20100192118A1 (en) * 2009-01-27 2010-07-29 Xilinx, Inc. Method of and circuit for implementing a filter in an integrated circuit
US20100191786A1 (en) * 2009-01-27 2010-07-29 Xilinx, Inc. Digital signal processing block with preadder stage
US7797497B1 (en) 2006-03-08 2010-09-14 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7804719B1 (en) 2005-06-14 2010-09-28 Xilinx, Inc. Programmable logic block having reduced output delay during RAM write processes when programmed to function in RAM mode
US7804730B2 (en) 2005-03-15 2010-09-28 Tabula, Inc. Method and apparatus for accessing contents of memory cells
US7818361B1 (en) 2005-11-07 2010-10-19 Tabula, Inc. Method and apparatus for performing two's complement multiplication
US7840630B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Arithmetic logic unit circuit
US20110002426A1 (en) * 2009-01-05 2011-01-06 Picochip Designs Limited Rake Receiver
US7930666B1 (en) 2006-12-12 2011-04-19 Tabula, Inc. System and method of providing a memory hierarchy
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US8463312B2 (en) 2009-06-05 2013-06-11 Mindspeed Technologies U.K., Limited Method and device in a communication network
US20140097868A1 (en) * 2012-10-04 2014-04-10 Tony Kai-Kit Ngai Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US20140097869A1 (en) * 2012-10-08 2014-04-10 Tony Kai-Kit Ngai Heterogeneous segmented and direct routing architecture for field programmable gate array
US8712469B2 (en) 2011-05-16 2014-04-29 Mindspeed Technologies U.K., Limited Accessing a base station
US8798630B2 (en) 2009-10-05 2014-08-05 Intel Corporation Femtocell base station
US8849340B2 (en) 2009-05-07 2014-09-30 Intel Corporation Methods and devices for reducing interference in an uplink
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US8862076B2 (en) 2009-06-05 2014-10-14 Intel Corporation Method and device in a communication network
US8904148B2 (en) 2000-12-19 2014-12-02 Intel Corporation Processor architecture with switch matrices for transferring data along buses
US9042434B2 (en) 2011-04-05 2015-05-26 Intel Corporation Filter
US9107136B2 (en) 2010-08-16 2015-08-11 Intel Corporation Femtocell access control
US9628083B1 (en) 2015-10-01 2017-04-18 Quicklogic Corporation Local routing network with selective fast paths for programmable logic device
US10579554B2 (en) 2016-10-20 2020-03-03 Samsung Electronics Co., Ltd. System and method for routing bus including buffer
US10856302B2 (en) 2011-04-05 2020-12-01 Intel Corporation Multimode base station

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4758745A (en) * 1986-09-19 1988-07-19 Actel Corporation User programmable integrated circuit interconnect architecture and test method
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US5073729A (en) * 1990-06-22 1991-12-17 Actel Corporation Segmented routing architecture
EP0461798A2 (en) * 1990-06-14 1991-12-18 Advanced Micro Devices, Inc. Configurable interconnect structure
US5144166A (en) * 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5208491A (en) * 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
US5212652A (en) * 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5243238A (en) * 1989-03-17 1993-09-07 Algotronix Limited Configurable cellular array
US5260611A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5260881A (en) * 1989-10-30 1993-11-09 Advanced Micro Devices, Inc. Programmable gate array with improved configurable logic block
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5267187A (en) * 1990-05-10 1993-11-30 Xilinx Inc Logic structure and circuit for fast carry
US5349250A (en) * 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5365125A (en) * 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5455525A (en) * 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5469003A (en) * 1992-11-05 1995-11-21 Xilinx, Inc. Hierarchically connectable configurable cellular array
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5598109A (en) * 1995-02-14 1997-01-28 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5629886A (en) * 1993-09-02 1997-05-13 Xilinx, Inc. Method and structure for providing fast propagation of a carry signal in a field programmable gate array
US5682107A (en) * 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5894565A (en) * 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4758745A (en) * 1986-09-19 1988-07-19 Actel Corporation User programmable integrated circuit interconnect architecture and test method
US4758745B1 (en) * 1986-09-19 1994-11-15 Actel Corp User programmable integrated circuit interconnect architecture and test method
US5243238A (en) * 1989-03-17 1993-09-07 Algotronix Limited Configurable cellular array
US5212652A (en) * 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5740069A (en) * 1989-08-15 1998-04-14 Advanced Micro Devices, Inc. Logic device (PLD) having direct connections between configurable logic blocks (CLBs) and configurable input/output blocks (IOBs)
US5260881A (en) * 1989-10-30 1993-11-09 Advanced Micro Devices, Inc. Programmable gate array with improved configurable logic block
US5267187A (en) * 1990-05-10 1993-11-30 Xilinx Inc Logic structure and circuit for fast carry
EP0461798A2 (en) * 1990-06-14 1991-12-18 Advanced Micro Devices, Inc. Configurable interconnect structure
US5073729A (en) * 1990-06-22 1991-12-17 Actel Corporation Segmented routing architecture
US5144166A (en) * 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5260611A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5208491A (en) * 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
US5365125A (en) * 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5469003A (en) * 1992-11-05 1995-11-21 Xilinx, Inc. Hierarchically connectable configurable cellular array
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5629886A (en) * 1993-09-02 1997-05-13 Xilinx, Inc. Method and structure for providing fast propagation of a carry signal in a field programmable gate array
US5349250A (en) * 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5455525A (en) * 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5682107A (en) * 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5760604A (en) * 1995-01-04 1998-06-02 Xilinx, Inc. Interconnect architecture for field programmable gate array
US5598109A (en) * 1995-02-14 1997-01-28 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5894565A (en) * 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
Altera Corporation, "Flex 10K Embedded Programmable Logic Family Data Sheet" from the Altera Digital Library, 1996, available from Altera Corporation, 2610 Orchard Parkway, San Jose, CA 95134-2020, pp. 31-53.
Altera Corporation, Flex 10K Embedded Programmable Logic Family Data Sheet from the Altera Digital Library, 1996, available from Altera Corporation, 2610 Orchard Parkway, San Jose, CA 95134 2020, pp. 31 53. *
G. De Micheli et al., "Design Systems for VLSI Circuits, Logic Synthesis and Silicon Compilation" 1987, Martinus Nijhoff Publishers, Dordrecht, pp. 113-195. ISBN 90-247-3561-0.
G. De Micheli et al., Design Systems for VLSI Circuits, Logic Synthesis and Silicon Compilation 1987, Martinus Nijhoff Publishers, Dordrecht, pp. 113 195. ISBN 90 247 3561 0. *
Lucent Technologies, Microelectronics Group, ORCA, "Field-Programmable Gate Arrays Data Book," Oct. 1996, pp. 2-9 to 2-20.
Lucent Technologies, Microelectronics Group, ORCA, Field Programmable Gate Arrays Data Book, Oct. 1996, pp. 2 9 to 2 20. *
Xilinx, Inc. "The Programmable Logic Data Book" 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. 4-11 to 4-23 and 4-32 to 4-37.
Xilinx, Inc. The Programmable Logic Data Book 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. 4 11 to 4 23 and 4 32 to 4 37. *

Cited By (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080074143A1 (en) * 1999-03-04 2008-03-27 Tony Ngai Interconnection and input/output resources for programmable logic integrated circuit devices
US20090289660A1 (en) * 1999-03-04 2009-11-26 Tony Ngai Interconnection and input/output resources for programmable logic integrated circuit devices
US6407576B1 (en) * 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US20040251930A1 (en) * 1999-03-04 2004-12-16 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US6614261B2 (en) 1999-03-04 2003-09-02 Altera Corp Interconnection and input/output resources for programable logic integrated circuit devices
US20030210073A1 (en) * 1999-03-04 2003-11-13 Tony Ngai Interconnection and input/output resources for programmable logic integrated circuit devices
US6894533B2 (en) 1999-03-04 2005-05-17 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US6989689B2 (en) 1999-03-04 2006-01-24 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US20070030029A1 (en) * 1999-03-04 2007-02-08 Altera Corporation, A Corporation Of Delaware Interconnection and input/output resources for programmable logic integrated circuit devices
US7839167B2 (en) 1999-03-04 2010-11-23 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US6184713B1 (en) * 1999-06-06 2001-02-06 Lattice Semiconductor Corporation Scalable architecture for high density CPLDS having two-level hierarchy of routing resources
US6573749B2 (en) * 2000-05-18 2003-06-03 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US8904148B2 (en) 2000-12-19 2014-12-02 Intel Corporation Processor architecture with switch matrices for transferring data along buses
US7840777B2 (en) * 2001-05-04 2010-11-23 Ascenium Corporation Method and apparatus for directing a computational array to execute a plurality of successive computational array instructions at runtime
US20060004997A1 (en) * 2001-05-04 2006-01-05 Robert Keith Mykland Method and apparatus for computing
US6895570B2 (en) * 2001-05-06 2005-05-17 Altera Corporation System and method for optimizing routing lines in a programmable logic device
US20020166106A1 (en) * 2001-05-06 2002-11-07 Lewis David M. System and method for asymmetric routing lines
US6331788B1 (en) * 2001-07-03 2001-12-18 The United States Of America As Represented By The Secretary Of The Air Force Simplified cellular array structure for programmable Boolean networks
US6747480B1 (en) 2002-07-12 2004-06-08 Altera Corporation Programmable logic devices with bidirect ional cascades
US7098687B1 (en) * 2003-08-18 2006-08-29 Altera Corporation Flexible routing resources in a programmable logic device
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7865542B2 (en) 2003-12-29 2011-01-04 Xilinx, Inc. Digital signal processing block having a wide multiplexer
US20060195496A1 (en) * 2003-12-29 2006-08-31 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit
US7467177B2 (en) 2003-12-29 2008-12-16 Xilinx, Inc. Mathematical circuit with dynamic rounding
US20060212499A1 (en) * 2003-12-29 2006-09-21 Xilinx, Inc. Digital signal processing block having a wide multiplexer
US20060230095A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having a pre-adder circuit
US20060230096A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having an adder circuit with carry-outs
US20060230093A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit for convergent rounding
US20060230094A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Digital signal processing circuit having input register blocks
US20060230092A1 (en) * 2003-12-29 2006-10-12 Xilinx, Inc. Architectural floorplan for a digital signal processing circuit
US7467175B2 (en) 2003-12-29 2008-12-16 Xilinx, Inc. Programmable logic device with pipelined DSP slices
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7480690B2 (en) 2003-12-29 2009-01-20 Xilinx, Inc. Arithmetic circuit with multiplexed addend inputs
US20050144210A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with dynamic DSP architecture
US20060288069A1 (en) * 2003-12-29 2006-12-21 Xilinx, Inc. Digital signal processing circuit having a SIMD circuit
US20060288070A1 (en) * 2003-12-29 2006-12-21 Xilinx, Inc. Digital signal processing circuit having a pattern circuit for determining termination conditions
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US20050144215A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Applications of cascading DSP slices
US20050144216A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Arithmetic circuit with multiplexed addend inputs
US20050144213A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Mathematical circuit with dynamic rounding
US20050144211A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with pipelined DSP slices
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US20050144212A1 (en) * 2003-12-29 2005-06-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7840630B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Arithmetic logic unit circuit
US7844653B2 (en) 2003-12-29 2010-11-30 Xilinx, Inc. Digital signal processing circuit having a pre-adder circuit
US7849119B2 (en) 2003-12-29 2010-12-07 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit
US7882165B2 (en) 2003-12-29 2011-02-01 Xilinx, Inc. Digital signal processing element having an arithmetic logic unit
US7853636B2 (en) 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit for convergent rounding
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US20060190516A1 (en) * 2003-12-29 2006-08-24 Xilinx, Inc. Digital signal processing element having an arithmetic logic unit
US7860915B2 (en) 2003-12-29 2010-12-28 Xilinx, Inc. Digital signal processing circuit having a pattern circuit for determining termination conditions
US7853632B2 (en) 2003-12-29 2010-12-14 Xilinx, Inc. Architectural floorplan for a digital signal processing circuit
US7853634B2 (en) 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a SIMD circuit
US7157933B1 (en) 2004-02-14 2007-01-02 Herman Schmit Configurable circuits, IC's, and systems
US8193830B2 (en) 2004-02-14 2012-06-05 Tabula, Inc. Configurable circuits, IC's, and systems
US7564260B1 (en) 2004-02-14 2009-07-21 Tabula Inc. VPA interconnect circuit
US20090167354A9 (en) * 2004-02-14 2009-07-02 Herman Schmit Non-Sequentially Configurable IC
US8305110B2 (en) 2004-02-14 2012-11-06 Tabula, Inc. Non-sequentially configurable IC
US7193432B1 (en) 2004-02-14 2007-03-20 Herman Schmit VPA logic circuits
US7193440B1 (en) 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US20100219859A1 (en) * 2004-02-14 2010-09-02 Herman Schmit Non-Sequentially Configurable IC
US7667486B2 (en) * 2004-02-14 2010-02-23 Tabula, Inc. Non-sequentially configurable IC
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7622951B2 (en) 2004-02-14 2009-11-24 Tabula, Inc. Via programmable gate array with offset direct connections
US7616027B2 (en) 2004-02-14 2009-11-10 Tabula, Inc. Configurable circuits, IC's and systems
US20080129336A1 (en) * 2004-02-14 2008-06-05 Herman Schmit Via programmable gate array with offset direct connections
US7948266B2 (en) 2004-02-14 2011-05-24 Tabula, Inc. Non-sequentially configurable IC
US20070075737A1 (en) * 2004-02-14 2007-04-05 Herman Schmit Configurable Circuits, IC's, and Systems
US20070241791A1 (en) * 2004-02-14 2007-10-18 Herman Schmit Non-Sequentially Configurable IC
US7872496B2 (en) 2004-02-14 2011-01-18 Tabula, Inc. Method of mapping a user design defined for a user design cycle to an IC with multiple sub-cycle reconfigurable circuits
US20090160481A9 (en) * 2004-02-14 2009-06-25 Herman Schmit Configurable Circuits, IC's and Systems
US7532032B2 (en) 2004-02-14 2009-05-12 Tabula, Inc. Configurable circuits, IC's, and systems
US20070241777A1 (en) * 2004-02-14 2007-10-18 Herman Schmit Configurable Circuits, IC's and Systems
US7126373B1 (en) 2004-02-14 2006-10-24 Herman Schmit Configurable logic circuits with commutative properties
US7126381B1 (en) 2004-02-14 2006-10-24 Herman Schmit VPA interconnect circuit
US7109752B1 (en) 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7132852B2 (en) 2004-04-14 2006-11-07 Altera Corporation Routing architecture with high speed I/O bypass path
US20050231236A1 (en) * 2004-04-14 2005-10-20 Altera Corporation Routing architecture with high speed I/O bypass path
US20070245287A1 (en) * 2004-06-30 2007-10-18 Andre Rohe Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7408382B2 (en) 2004-06-30 2008-08-05 Tabula, Inc. Configurable circuits, IC's, and systems
US20070241788A1 (en) * 2004-06-30 2007-10-18 Herman Schmit VPA Logic Circuits
US7145361B1 (en) * 2004-06-30 2006-12-05 Andre Rohe Configurable integrated circuit with different connection schemes
US8645890B2 (en) 2004-06-30 2014-02-04 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7193438B1 (en) * 2004-06-30 2007-03-20 Andre Rohe Configurable integrated circuit with offset connection
US8415973B2 (en) 2004-06-30 2013-04-09 Tabula, Inc. Configurable integrated circuit with built-in turns
US8350591B2 (en) 2004-06-30 2013-01-08 Tabula, Inc. Configurable IC's with dual carry chains
US8281273B2 (en) 2004-06-30 2012-10-02 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US20110202586A1 (en) * 2004-06-30 2011-08-18 Steven Teig Configurable ic's with dual carry chains
US7994817B2 (en) * 2004-06-30 2011-08-09 Tabula, Inc. Configurable integrated circuit with built-in turns
US20110163781A1 (en) * 2004-06-30 2011-07-07 Andre Rohe Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7312630B2 (en) * 2004-06-30 2007-12-25 Tabula, Inc. Configurable integrated circuit with built-in turns
US7849434B2 (en) 2004-06-30 2010-12-07 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7839166B2 (en) * 2004-06-30 2010-11-23 Tabula, Inc. Configurable IC with logic resources with offset connections
US7284222B1 (en) 2004-06-30 2007-10-16 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US20100210077A1 (en) * 2004-06-30 2010-08-19 Andre Rohe Configurable integrated circuit with built-in turns
US20080059937A1 (en) * 2004-06-30 2008-03-06 Andre Rohe Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7737722B2 (en) * 2004-06-30 2010-06-15 Tabula, Inc. Configurable integrated circuit with built-in turns
US20080061823A1 (en) * 2004-06-30 2008-03-13 Herman Schmit Configurable ic's with logic resources with offset connections
US20070241770A1 (en) * 2004-06-30 2007-10-18 Andre Rohe Configurable integrated circuit with built-in turns
US20070241786A1 (en) * 2004-06-30 2007-10-18 Andre Rohe Configurable Integrated Circuit with Different Connection Schemes
US20070241776A1 (en) * 2004-06-30 2007-10-18 Herman Schmit Configurable Logic Circuits with Commutative Properties
US7557609B2 (en) * 2004-06-30 2009-07-07 Tabula, Inc. Configurable integrated circuit with different connection schemes
US20070241789A1 (en) * 2004-06-30 2007-10-18 Andre Rohe Configurable Integrated Circuit with Offset Connection
US7468614B2 (en) * 2004-06-30 2008-12-23 Tabula, Inc. Configurable integrated circuit with offset connections
US20070241787A1 (en) * 2004-06-30 2007-10-18 Herman Schmit Configurable Circuits, IC's, and Systems
US20080129333A1 (en) * 2004-06-30 2008-06-05 Andre Rohe Configurable Integrated Circuit with Built-in Turns
US7449915B2 (en) 2004-06-30 2008-11-11 Tabula Inc. VPA logic circuits
US7439766B2 (en) 2004-06-30 2008-10-21 Tabula, Inc. Configurable logic circuits with commutative properties
US20070241774A1 (en) * 2004-11-08 2007-10-18 Steven Teig Reconfigurable ic that has sections running at different looperness
US8183882B2 (en) 2004-11-08 2012-05-22 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7420389B2 (en) 2004-11-08 2008-09-02 Tabula, Inc. Clock distribution in a configurable IC
US7295037B2 (en) 2004-11-08 2007-11-13 Tabula, Inc. Configurable IC with routing circuits with offset connections
US8248102B2 (en) 2004-11-08 2012-08-21 Tabula, Inc. Configurable IC'S with large carry chains
US20080180131A1 (en) * 2004-11-08 2008-07-31 Steven Teig Configurable IC with Interconnect Circuits that also Perform Storage Operations
US8159264B2 (en) 2004-11-08 2012-04-17 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US20070285125A1 (en) * 2004-11-08 2007-12-13 Jason Redgrave Method and Apparatus for Accessing Stored Data in a Reconfigurable IC
US20110115523A1 (en) * 2004-11-08 2011-05-19 Jason Redgrave Storage elements for a configurable ic and method and apparatus for accessing data stored in the storage elements
US7917559B2 (en) 2004-11-08 2011-03-29 Tabula, Inc. Configurable IC's with configurable logic circuits that perform adder and/or subtractor operations
US20080164906A1 (en) * 2004-11-08 2008-07-10 Jason Redgrave Storage Elements for a Configurable IC and Method and Apparatus for Accessing Data Stored in the Storage Elements
US7224181B1 (en) 2004-11-08 2007-05-29 Herman Schmit Clock distribution in a configurable IC
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
US7259587B1 (en) 2004-11-08 2007-08-21 Tabula, Inc. Configurable IC's with configurable logic resources that have asymetric inputs and/or outputs
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7268586B1 (en) 2004-11-08 2007-09-11 Tabula, Inc. Method and apparatus for accessing stored data in a reconfigurable IC
US20080018359A1 (en) * 2004-11-08 2008-01-24 Herman Schmit Configurable IC's With Configurable Logic Resources That Have Asymmetric Inputs And/Or Outputs
US20070244957A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with configurable logic circuits that perform adder and/or subtractor operations
US20070244960A1 (en) * 2004-11-08 2007-10-18 Herman Schmit Configurable IC's with large carry chains
US20070241778A1 (en) * 2004-11-08 2007-10-18 Herman Schmit IC with configurable storage circuits
US7276933B1 (en) 2004-11-08 2007-10-02 Tabula, Inc. Reconfigurable IC that has sections running at different looperness
US7282950B1 (en) * 2004-11-08 2007-10-16 Tabula, Inc. Configurable IC's with logic resources with offset connections
US7825687B2 (en) 2004-11-08 2010-11-02 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US20080036494A1 (en) * 2004-11-08 2008-02-14 Steven Teig Reconfigurable ic that has sections running at different looperness
US7743085B2 (en) 2004-11-08 2010-06-22 Tabula, Inc. Configurable IC with large carry chains
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7518402B2 (en) 2004-11-08 2009-04-14 Tabula, Inc. Configurable IC with configuration logic resources that have asymmetric inputs and/or outputs
US20070244958A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with carry bypass circuitry
US7656188B2 (en) 2004-11-08 2010-02-02 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7525342B2 (en) 2004-11-08 2009-04-28 Tabula, Inc. Reconfigurable IC that has sections running at different looperness
US7652499B2 (en) 2004-11-08 2010-01-26 Tabula, Inc. Embedding memory within tile arrangement of an integrated circuit
US20100007376A1 (en) * 2004-11-08 2010-01-14 Jason Redgrave Storage elements for a configurable ic and method and apparatus for accessing data stored in the storage elements
US20070241783A1 (en) * 2004-11-08 2007-10-18 Herman Schmit Configurable ic with routing circuits with offset connections
US20080100339A1 (en) * 2004-11-08 2008-05-01 Herman Schmit Configurable ic with routing circuits with offset connections
US7576564B2 (en) 2004-11-08 2009-08-18 Tabula Inc. Configurable IC with routing circuits with offset connections
US7532030B2 (en) 2004-11-08 2009-05-12 Tabula, Inc. Method and apparatus for accessing stored data in a reconfigurable IC
US7573296B2 (en) 2004-11-08 2009-08-11 Tabula Inc. Configurable IC with configurable routing resources that have asymmetric input and/or outputs
US7545167B2 (en) 2004-11-08 2009-06-09 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7570077B2 (en) 2004-11-08 2009-08-04 Tabula Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7564261B2 (en) 2004-11-08 2009-07-21 Tabula Inc. Embedding memory between tile arrangement of a configurable IC
US20080116931A1 (en) * 2004-11-08 2008-05-22 Herman Schmit Embedding Memory within Tile Arrangement of a Configurable IC
US20070241785A1 (en) * 2004-11-08 2007-10-18 Herman Schmit Configurable ic's with logic resources with offset connections
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7898291B2 (en) 2004-12-01 2011-03-01 Tabula, Inc. Operational time extension
US20080307378A1 (en) * 2004-12-01 2008-12-11 Andre Rohe Operational Cycle Assignment in a Configurable IC
US20080307380A1 (en) * 2004-12-01 2008-12-11 Andre Rohe Operational Cycle Assignment in a Configurable IC
US7428721B2 (en) 2004-12-01 2008-09-23 Tabula, Inc. Operational cycle assignment in a configurable IC
US8683410B2 (en) 2004-12-01 2014-03-25 Tabula, Inc. Operational cycle assignment in a configurable IC
US7870529B2 (en) 2004-12-01 2011-01-11 Tabula, Inc. Operational cycle assignment in a configurable IC
US8664974B2 (en) 2004-12-01 2014-03-04 Tabula, Inc. Operational time extension
US7694265B2 (en) 2004-12-01 2010-04-06 Tabula, Inc. Operational cycle assignment in a configurable IC
US7587698B1 (en) 2004-12-01 2009-09-08 Tabula Inc. Operational time extension
US20070245272A1 (en) * 2004-12-01 2007-10-18 Andre Rohe Concurrent optimization of physical design and operational cycle assignment
US7870530B2 (en) 2004-12-01 2011-01-11 Tabula, Inc. Operational cycle assignment in a configurable IC
US20110181317A1 (en) * 2004-12-01 2011-07-28 Andre Rohe Operational time extension
US7496879B2 (en) 2004-12-01 2009-02-24 Tabula, Inc. Concurrent optimization of physical design and operational cycle assignment
US20110145776A1 (en) * 2004-12-01 2011-06-16 Andre Rohe Operational cycle assignment in a configurable ic
US7932742B2 (en) 2005-03-15 2011-04-26 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US8726213B2 (en) 2005-03-15 2014-05-13 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7307449B1 (en) 2005-03-15 2007-12-11 Tabula, Inc Sub-cycle configurable hybrid logic/interconnect circuit
US7528627B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for performing shifting in an integrated circuit
US20070241784A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Configurable ic with interconnect circuits that have select lines driven by user signals
US7298169B2 (en) 2005-03-15 2007-11-20 Tabula, Inc Hybrid logic/interconnect circuit in a configurable IC
US7521958B2 (en) 2005-03-15 2009-04-21 Tabula, Inc. Hybrid configurable circuit for a configurable IC
US20070257702A1 (en) * 2005-03-15 2007-11-08 Brad Hutchings Hybrid Configurable Circuit for a Configurable IC
US7224182B1 (en) 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US7310003B2 (en) 2005-03-15 2007-12-18 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US20070241773A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Hybrid logic/interconnect circuit in a configurable ic
US20070257700A1 (en) * 2005-03-15 2007-11-08 Andrew Caldwell Method and apparatus for decomposing functions in a configurable IC
US7804730B2 (en) 2005-03-15 2010-09-28 Tabula, Inc. Method and apparatus for accessing contents of memory cells
US20070244959A1 (en) * 2005-03-15 2007-10-18 Steven Teig Configurable IC's with dual carry chains
US7301368B2 (en) 2005-03-15 2007-11-27 Tabula, Inc. Embedding memory within tile arrangement of a configurable IC
US7530033B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US20070241772A1 (en) * 2005-03-15 2007-10-18 Herman Schmit Embedding memory within tile arrangement of a configurable ic
US20080129335A1 (en) * 2005-03-15 2008-06-05 Brad Hutchings Configurable IC with interconnect circuits that have select lines driven by user signals
US20070241781A1 (en) * 2005-03-15 2007-10-18 Brad Hutchings Variable width management for a memory of a configurable IC
US7825684B2 (en) 2005-03-15 2010-11-02 Tabula, Inc. Variable width management for a memory of a configurable IC
US20080129337A1 (en) * 2005-03-15 2008-06-05 Jason Redgrave Method and apparatus for performing shifting in an integrated circuit
US7816944B2 (en) 2005-03-15 2010-10-19 Tabula, Inc. Variable width writing to a memory of an IC
US7804719B1 (en) 2005-06-14 2010-09-28 Xilinx, Inc. Programmable logic block having reduced output delay during RAM write processes when programmed to function in RAM mode
US7218143B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between memory elements and carry logic
US7218140B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between carry chain multiplexers and lookup tables
US7196543B1 (en) * 2005-06-14 2007-03-27 Xilinx, Inc. Integrated circuit having a programmable input structure with optional fanout capability
US7253658B1 (en) 2005-06-14 2007-08-07 Xilinx, Inc. Integrated circuit providing direct access to multi-directional interconnect lines in a general interconnect structure
US7202698B1 (en) 2005-06-14 2007-04-10 Xilinx, Inc. Integrated circuit having a programmable input structure with bounce capability
US7265576B1 (en) 2005-06-14 2007-09-04 Xilinx, Inc. Programmable lookup table with dual input and output terminals in RAM mode
US7279929B1 (en) * 2005-06-14 2007-10-09 Xilinx, Inc. Integrated circuit with programmable routing structure including straight and diagonal interconnect lines
US7375552B1 (en) 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US7276934B1 (en) * 2005-06-14 2007-10-02 Xilinx, Inc. Integrated circuit with programmable routing structure including diagonal interconnect lines
US7274214B1 (en) 2005-06-14 2007-09-25 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7971172B1 (en) 2005-11-07 2011-06-28 Tabula, Inc. IC that efficiently replicates a function to save logic and routing resources
US7765249B1 (en) 2005-11-07 2010-07-27 Tabula, Inc. Use of hybrid interconnect/logic circuits for multiplication
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7818361B1 (en) 2005-11-07 2010-10-19 Tabula, Inc. Method and apparatus for performing two's complement multiplication
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7626419B1 (en) 2005-11-11 2009-12-01 Tabula, Inc. Via programmable gate array with offset bit lines
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US7489162B1 (en) 2005-12-01 2009-02-10 Tabula, Inc. Users registers in a reconfigurable IC
US7461362B1 (en) 2005-12-01 2008-12-02 Tabula, Inc. Replacing circuit design elements with their equivalents
US8089300B2 (en) 2005-12-01 2012-01-03 Tabula, Inc. Users registers implemented with routing circuits in a configurable IC
US20100213977A1 (en) * 2005-12-01 2010-08-26 Jason Redgrave Users registers implemented with routing circuits in a configurable ic
US7797497B1 (en) 2006-03-08 2010-09-14 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7694083B1 (en) 2006-03-08 2010-04-06 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7518400B1 (en) 2006-03-08 2009-04-14 Tabula, Inc. Barrel shifter implemented on a configurable integrated circuit
US20110004734A1 (en) * 2006-03-08 2011-01-06 Herman Schmit System and method for providing more logical memory ports than physical memory ports
US20100241800A1 (en) * 2006-03-08 2010-09-23 Herman Schmit System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7609085B1 (en) 2006-03-08 2009-10-27 Tabula, Inc. Configurable integrated circuit with a 4-to-1 multiplexer
US8230182B2 (en) 2006-03-08 2012-07-24 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7962705B2 (en) 2006-03-08 2011-06-14 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7504858B1 (en) 2006-03-08 2009-03-17 Tabula, Inc. Configurable integrated circuit with parallel non-neighboring offset connections
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
US7529992B1 (en) 2006-03-27 2009-05-05 Tabula, Inc. Configurable integrated circuit with error correcting circuitry
US7587697B1 (en) 2006-12-12 2009-09-08 Tabula, Inc. System and method of mapping memory blocks in a configurable integrated circuit
US7930666B1 (en) 2006-12-12 2011-04-19 Tabula, Inc. System and method of providing a memory hierarchy
US8434045B1 (en) 2006-12-12 2013-04-30 Tabula, Inc. System and method of providing a memory hierarchy
US7508231B2 (en) 2007-03-09 2009-03-24 Altera Corporation Programmable logic device having redundancy with logic element granularity
US20080218197A1 (en) * 2007-03-09 2008-09-11 Altera Corporation Programmable logic device having redundancy with logic element granularity
US20080218208A1 (en) * 2007-03-09 2008-09-11 Altera Corporation Programmable logic device having logic array block interconnect lines that can interconnect logic elements in different logic blocks
US7456653B2 (en) * 2007-03-09 2008-11-25 Altera Corporation Programmable logic device having logic array block interconnect lines that can interconnect logic elements in different logic blocks
US7514957B2 (en) 2007-03-20 2009-04-07 Tabula, Inc Configurable IC having a routing fabric with storage elements
US20080231314A1 (en) * 2007-03-20 2008-09-25 Steven Teig Configurable IC Having A Routing Fabric With Storage Elements
US8093922B2 (en) 2007-03-20 2012-01-10 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US20100001759A1 (en) * 2007-03-20 2010-01-07 Steven Teig Configurable ic having a routing fabric with storage elements
US7525344B2 (en) 2007-03-20 2009-04-28 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US20080231315A1 (en) * 2007-03-20 2008-09-25 Steven Teig Configurable IC Having A Routing Fabric With Storage Elements
US7521959B2 (en) 2007-03-20 2009-04-21 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US20080231318A1 (en) * 2007-03-20 2008-09-25 Herman Schmit Configurable ic having a routing fabric with storage elements
US7610566B1 (en) 2007-03-22 2009-10-27 Tabula, Inc. Method and apparatus for function decomposition
US8112468B1 (en) 2007-03-22 2012-02-07 Tabula, Inc. Method and apparatus for performing an operation with a plurality of sub-operations in a configurable IC
US7535252B1 (en) 2007-03-22 2009-05-19 Tabula, Inc. Configurable ICs that conditionally transition through configuration data sets
US8324931B2 (en) 2007-09-06 2012-12-04 Tabula, Inc. Configuration context switcher with a latch
US8248101B2 (en) 2007-09-06 2012-08-21 Tabula, Inc. Reading configuration data from internal storage node of configuration storage circuit
US20110089970A1 (en) * 2007-09-06 2011-04-21 Tabula, Inc. Configuration context switcher
US8344755B2 (en) 2007-09-06 2013-01-01 Tabula, Inc. Configuration context switcher
US7825685B2 (en) 2007-09-06 2010-11-02 Tabula, Inc. Configuration context switcher with a clocked storage element
US7928761B2 (en) 2007-09-06 2011-04-19 Tabula, Inc. Configuration context switcher with a latch
US8138789B2 (en) 2007-09-06 2012-03-20 Tabula, Inc. Configuration context switcher with a clocked storage element
US20090146689A1 (en) * 2007-09-06 2009-06-11 Trevis Chandler Configuration Context Switcher with a Clocked Storage Element
US20090149211A1 (en) * 2007-11-05 2009-06-11 Picochip Designs Limited Power control
US8559998B2 (en) 2007-11-05 2013-10-15 Mindspeed Technologies U.K., Limited Power control
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US20090210652A1 (en) * 2008-02-11 2009-08-20 Andrew William George Duller Signal routing in processor arrays
US8077623B2 (en) * 2008-02-11 2011-12-13 Picochip Limited Signal routing in processor arrays
US20090327987A1 (en) * 2008-06-26 2009-12-31 Steven Teig Timing operations in an IC with configurable circuits
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
US20110002426A1 (en) * 2009-01-05 2011-01-06 Picochip Designs Limited Rake Receiver
US8479133B2 (en) 2009-01-27 2013-07-02 Xilinx, Inc. Method of and circuit for implementing a filter in an integrated circuit
US20100191786A1 (en) * 2009-01-27 2010-07-29 Xilinx, Inc. Digital signal processing block with preadder stage
US8543635B2 (en) 2009-01-27 2013-09-24 Xilinx, Inc. Digital signal processing block with preadder stage
US20100192118A1 (en) * 2009-01-27 2010-07-29 Xilinx, Inc. Method of and circuit for implementing a filter in an integrated circuit
US8849340B2 (en) 2009-05-07 2014-09-30 Intel Corporation Methods and devices for reducing interference in an uplink
US8862076B2 (en) 2009-06-05 2014-10-14 Intel Corporation Method and device in a communication network
US9807771B2 (en) 2009-06-05 2017-10-31 Intel Corporation Method and device in a communication network
US8892154B2 (en) 2009-06-05 2014-11-18 Intel Corporation Method and device in a communication network
US8463312B2 (en) 2009-06-05 2013-06-11 Mindspeed Technologies U.K., Limited Method and device in a communication network
US8798630B2 (en) 2009-10-05 2014-08-05 Intel Corporation Femtocell base station
US9107136B2 (en) 2010-08-16 2015-08-11 Intel Corporation Femtocell access control
US9042434B2 (en) 2011-04-05 2015-05-26 Intel Corporation Filter
US10856302B2 (en) 2011-04-05 2020-12-01 Intel Corporation Multimode base station
US8712469B2 (en) 2011-05-16 2014-04-29 Mindspeed Technologies U.K., Limited Accessing a base station
US9490811B2 (en) * 2012-10-04 2016-11-08 Efinix, Inc. Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US20140097868A1 (en) * 2012-10-04 2014-04-10 Tony Kai-Kit Ngai Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US20140097869A1 (en) * 2012-10-08 2014-04-10 Tony Kai-Kit Ngai Heterogeneous segmented and direct routing architecture for field programmable gate array
US9525419B2 (en) * 2012-10-08 2016-12-20 Efinix, Inc. Heterogeneous segmented and direct routing architecture for field programmable gate array
US9825633B2 (en) 2012-10-08 2017-11-21 Efinix, Inc. Heterogeneous segmented and direct routing architecture for field programmable gate array
US9628083B1 (en) 2015-10-01 2017-04-18 Quicklogic Corporation Local routing network with selective fast paths for programmable logic device
US10579554B2 (en) 2016-10-20 2020-03-03 Samsung Electronics Co., Ltd. System and method for routing bus including buffer
US11138136B2 (en) 2016-10-20 2021-10-05 Samsung Electronics Co., Ltd. System and method for routing bus including buffer

Similar Documents

Publication Publication Date Title
US6069490A (en) Routing architecture using a direct connect routing mesh
JP3948497B2 (en) FPGA repeatable interconnect structure
US5675262A (en) Fast carry-out scheme in a field programmable gate array
US6828824B2 (en) Heterogeneous interconnection architecture for programmable logic devices
US5652529A (en) Programmable array clock/reset resource
US6396303B1 (en) Expandable interconnect structure for FPGAS
US6130551A (en) Synthesis-friendly FPGA architecture with variable length and variable timing interconnect
US6275064B1 (en) Symmetrical, extended and fast direct connections between variable grain blocks in FPGA integrated circuits
US5701091A (en) Routing resources for hierarchical FPGA
US7317332B2 (en) Interconnection and input/output resources for programmable logic integrated circuit devices
US6636070B1 (en) Driver circuitry for programmable logic devices with hierarchical interconnection resources
US5883526A (en) Hierarchical interconnect for programmable logic devices
US20070252617A1 (en) Versatile logic element and logic array block
EP0746107A2 (en) Programmable logic cell
US6882176B1 (en) High-performance programmable logic architecture
US6294925B1 (en) Programmable logic device
US6034544A (en) Programmable input/output block (IOB) in FPGA integrated circuits
GB2318663A (en) Hierarchical interconnect for programmable logic devices
EP1619799A2 (en) Mask-programmable logic device with programmable portions
US5990702A (en) Flexible direct connections between input/output blocks (IOBs) and variable grain blocks (VGBs) in FPGA integrated circuits
US5463328A (en) Expanded programmable logic architecture
US5982193A (en) Input/output block (IOB) connections to MaxL lines, nor lines and dendrites in FPGA integrated circuits
US7417455B2 (en) Programmable function generator and method operating as combinational, sequential and routing cells
US6107823A (en) Programmable control multiplexing for input/output blocks (IOBs) in FPGA integrated circuits
US10855283B2 (en) Routing network for reconfigurable circuit

Legal Events

Date Code Title Description
AS Assignment

Owner name: XILINX, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OCHOTTA, EMIL S.;WIELAND, DOUGLAS P.;REEL/FRAME:008884/0514

Effective date: 19971202

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12